UVM(Universal Verification Methodology)は、ハードウェアの検証を効率化する
ためのフレームワークであり、IEEE 1800 SystemVerilogの一部として提供されています。
UVMは、論理回路のシミュレーションを行うためのライブラリで、検証環境の再利用性向上を
目的としています。
具体的には、UVMは以下の特徴を持っています:
 1. 再利用性: UVMは検証環境の再利用を可能にし、検証の生産性を向上させます。
 2. クラスベースの開発: UVMはSystemVerilogのクラスを使用して検証環境を定義します。
  ユーザーはこれらのクラスを継承して独自の検証環境を作成します。
 3. 用語: UVMではトランザクション、コンポーネント、オブジェクトなどの用語がよく
  使われます。
  トランザクションは回路記述で使われるシグナルレベルよりも高位の記述法で、コンポーネ
  ントは検証環境を構成する要素です。

UVMは、ハードウェア設計者や検証エンジニアにとって有用なツールであり、効率的なハード
ウェア検証を実現します。

講座名 実践UVMを使った検証環境構築
講習期間 2日間
受講料(税込) ¥107,800/人
講座概要 検証環境構築にUVMを使う事が増えいます。
本講座では、実際にUVMを使って検証環境を構築し、実践的にUVMを使う技術を身につけます。
レベル(技術開発カテゴリ) 上級(ASIC/FPGA開発)
受講対象者 ・回路設計業務に従事する技術者、および管理監督する立場の技術者
・RTL(Verilog HDL)による設計・検証実務2年程度の経験者
前提知識 ・RTL(Verilog HDL)による設計実務2年程度の知識と経験
内容 1.UVMの基礎:run_testとUVM環境
2.シーケンスとドライバー
3.モニターをスコアボード
4.シーケンスの組みたて方とドライバーの機能実装
5.Cリファレンスモデルを組み込んだ期待値照合
6.カバレッジとアサーションの導入
7.VIPを使った検証(APBVIPで実践してもらいます)
付録:SystemVerilog クラス言語概要
演習 準備中
日程 最新のスケジュールとお申込状況はこちらからご確認ください。
定員 対面:8名(最少開催人数:4名)
場所 株式会社エッチ・ディー・ラボ
申し込み 受講申込規約をご確認いただき、こちらよりお申し込みください。
問い合わせ こちらよりお問い合わせください。